Topic : Cycle counting bug?

Forum : ST7/STM8

Original Post
Post Information Post
February 20, 2011 - 11:50am
Guest

Hi,

I've just written a basic delay function includes some NOP instructions. After that, to my suprise I've seen that the simulator counts NOP intruction as two cycle instruction.

I use Ride7 7.30.10.0159 and RKit-STM8 2.32.10.0307.

Is this a bug?

Replies
Post Information Post
+1
0
-1
February 21, 2011 - 9:57am
Raisonance Support Team

Hi,

Thanks for this report. We reproduced the problem in our Labs.
The NOP instruction was incorrectly handled as a 2-cycles instruction. In fact it is 2 cycles long on ST7, but only 1 cycle (as most instructions) on STM8.

This will be corrected in the next RKit-STM8 release.

Best Regards,